Full Adder

    [Logic Gate] 반가산기(Half-adder), 전가산기(Full-adder)

    반가산기 (Half Adder) 가산기란 덧셈 연산을 수행하기 위한 논리회로이다. 반가산기는 한 자릿수 이진수를 연산하고, 자리올림수는 carry로 출력한다. 논리식 Carry = A∙B Sum = A'B + AB' = A⊕B 논리회로 진리표 Inputs Outputs A B Sum Carry 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 1 전가산기 (Full Adder) 전가산기는 하위의 자리올림수(Ci, Carry in) 입력을 포함하여 한 자릿수 이진수를 연산한다. 두 개의 반가산기와 한 개의 OR연산으로 구성되며, Ci 1bit와 피연산자 2bit 총 3개의 bit를 더하여 합(S, Sum)과 자리올림수(Co, Carry out)을 출력한다. 하위의 자리올림수를 포함하여 연산하므로 n자릿..